- VHDL’87的数据类型包括_标量型、复合型、存取类型和文件类型.( )
- VHDL中元件例化语句的端口映射方式有名字映射和位置映射两种。( )
- 在VHDL中,标准逻辑位数据有9种逻辑值.( )
- 从可编程特性上可将PLD分为一次编程和可重复编程两类。( )
- QuartusII的primitives元件库包括各种逻辑门,触发器和输入输出端口等。( )
- 功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为后仿真。( )
- 传统电路设计思想是top-down,现代EDA设计思想是bottom-up。( )
- 以EDA方式实现的电路设计文件,最终可以编程下载到FPGA/CPLD芯片中,完成硬件设计和验证.( )
- VHDL的顺序语句只能出现在进程、结构体中,是按程序书写的顺序自上而下,一条一条的执行.( )
- 下面哪个选项不是信号和变量的不同特性?( )
- VHDL 运算符优先级的说法正确的是 ( )
- 可编程逻辑器件的英文简称是( )
- 不完整的 IF 语句,其综合结果可实现 ( )
- VHDL 语言是一种结构化设计语言;一个设计实体(电路模块) 包括实体与结构体两部分,实体体描述的是( )
- 在设计处理过程中,可产生供器件编程使用的数据文件,对于FPGA来说是生成( )
- 变量和信号的描述正确的是 ( )
- 使用QuartusⅡ工具软件实现原理图设计输入,应创建( )文件.
- 在 VHDL 中,含 WAIT 语句的进程 PROCESS 的括弧中( ) 再加敏感信号,否则则是非法的。
- 将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( ).
- 在EDA工具中,能完成在目标系统器件上布局布线软件称为( )
- 进程中的信号赋值语句,其信号更新是( )
- VHDL的PROCESS(进程)内部是由并行语句组成的,但PROCESS语句本身却是顺序语句.( )
- VHDL的并行语句在结构体中的执行是并行运行的,其执行方式与语句书写的顺序无关.( )
- 在QuartusII中利用RTL阅读器可以观察设计电路的综合结果。( )
- 硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为自底向上的设计法。( )
- 在EDA发展的CAD阶段,人们可与将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用。( )
- VHDL的实体由实体声明部分和结构体组成.( )
- EDA设计流程包括设计准备、设计输入、设计处理、器件编程四个步骤。( )
- VHDL的变量(VARIABLE)是一个全局变量,只能在进程,函数和过程中声明和使用.( )
- IEEE于1993年公布了VHDL的IEEE 1076-1993语法标准.( )
- VHDL的实体声明部分指定了设计单元的输入/输出端口,它是外界可以看到的部分.( )
- VHDL 语言中信号定义的位置是 ( )
- 关于 1987 标准的 VHDL 语言中,标识符描述正确的是 ( )
- 下面哪种语句不是顺序语句?( )
- 在EDA 中,ISP 的中文含义是 ( )
- VHDL设计实体的基本结构由库、程序包、实体、结构体和_配置等部分构成。( )
- 使用 STD_LOGIG_1164 使用的数据类型时( )
- 变量和信号的描述正确的是( )
- VHDL 文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: choice value length must match selector expression value length其错误原因是 ( )
- 在 VHDL 的 CASE 语句中,条件句中的"=>"不是操作符号,它只相当与( ) 作用。
- QuartusⅡ工具软件具有( )等功能.
- 在设计处理工程中,可产生器件编程使用的数据文件,对于CPLD来说是产生( )
- 描述项目具有逻辑功能的是 ( )
- 关于 VHDL 数据类型,正确的是( )
- 请指出Altera Cyclone 系列中的EP1C6Q240C8 这个器件是属于( )
- 在VHDL 中,PROCESS 结构内部是由( ) 语句组成的。
- 变量是局部量可以写在 ( )
- 符合 1987VHDL 标准的标识符是( )
- 在VHDL 中 ( )不能将信息带出对它定义的当前设计单元。
- 下列关于信号的说法不正确的是 ( )
- 在 VHDL 中,语句"FOR I IN 0 TO 7 LOOP "定义循环次数为( ) 次。
- 在EDA中,IP的中文含义是( )。
- IEEE于1987年公布了VHDL的IEEE 1076-1987语法标准.( )
- 根据VHDL语法规则,在VHDL程序中使用的文字,数据对象,数据类型不需要预先定义.( )
- 在VHDL中最常用的库是STD标准库,最常用的程序包是STD_LOGIC_1164程序包.( )
- 指定设计电路的输入\输出端口与目标芯片引脚的连接关系的过程称为引脚锁定.( )
- VHDL的操作符包括逻辑操作符、关系操作符、算术操作符和符号操作符四类.( )
- 进程语句的启动条件是敏感信号的变化或满足条件的wait语句。( )
- EDA工具大致可以分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、下载器等5个模块。( )
- 一个完整的VHDL程序,至少应包括三个基本组成部分:库说明、实体和结构体。( )
- Quartus的完整编译过程包含分析与综合、适配、编程、时序分析四个环节.( )
- VHDL的过程分为过程首和过程体两部分,如需在不同实体中调用需要将它们装入程序包中.( )
- 一般把EDA技术的发展分为CAD、CAE和EDA三个阶段。( )
- 在VHDL中,预定义的属性描述语句可用于检出时钟边沿,完成定时检查,获得未约束的数据类型的范围等.( )
- 在给可编程逻辑器件编程时,常用的下载线有ByteBlaster和USB Blaster.( )
- 用嵌入式逻辑分析仪捕获16位总线的信号,如采样深度为2K,则需要消耗4K字节的嵌入式RAM容量。( )
- 下面不属于顺序语句的是( )
- EDA的设计输入方式主要包括文本输入方式、图形输入方式、波形输入方式。( )
- 正确给变量X 赋值的语句是 ( )
- 对于信号和变量的说法,哪一个是不正确的:( )
- 关键字ARCHITECTURE 定义的是( )
- 下列关于变量的说法正确的是 ( )
- 下面数据中属于位矢量的是 ( )
- 下列 EDA 软件中,哪一个不具有逻辑综合功能: ( )
- 基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为( )设计法。
- 当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、Verilog HDL.( )
- 综合是 EDA 设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中( )是错误的。
- 1987 标准的 VHDL 语言对大小写是 ( )
- 在EDA 中,IP 的中文含义是 ( )
- 下面哪一个是 VHDL 中的波形编辑文件的后缀名( )
- 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化( )。①流水线设计 ②资源共享 ③逻辑优化 ④串行化 ⑤寄存器配平 ⑥关键路径法
- VHDL 常用的库是 ( )
- 在VHDL 中,PROCESS 本身是( )语句
- EP1C3T100C8 具有( )个管脚
- 在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为( )。
- 在QuartusⅡ集成环境下为图形文件产生一个元件符号的主要用途是( )。
- 关于 1987 标准的 VHDL 语言中,标识符描述正确的是( )
- 在VHDL 中,用语句( ) 表示 clock 的下降沿。
- 在元件例化语句中,用符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP( )中的信号名关联起来。
- 在VHDL 语言中,下列对时钟边沿检测描述中,错误的是( )
- 下面数据中属于实数的是 ( )
- 下列选项中,可以用作QuartusII工程顶层实体名的是( )。
- 将电路的高级语言描述转换为低级,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件的过程称为( )。
- 下列那个流程是正确的基于 EDA 软件的 FPGA / CPLD 设计流程:( )
- EDA 的中文含义是 ( )
- 选出对于有下划线语句解释正确的释义( )Library ieee;Use ieee.std_logic_1164.all; 定义元件库 entity qk_11 isport( a,b,c,d,en:in std_logic; s:in std_logic_vector(1 downto 0); op:out std_logic );end qk_11;architecture ar_1 of qk_11 is signal f:std_logic_vector(2 downto 0);beginf<=en&s; process (f) begin case f is when"100"=>op<=a; when"101"=>op<=b; when"110"=>op<=c; when others=>op<=d;end case;end process;end ar_1;
- 补全以下二选一VHDL程序Entity mux isport(d0,d1,sel:in bit;q:out bit); end mux;architecture connect of mux is signal tmp1,tmp2,tmp3:bit;begin cale:block begin tmp1<=d0 and sel; tmp2<=d1 and (not sel)tmp3<= tmp1 and tmp2;q <= tmp3; end block cale; end ;
- 补全以下VHDL程序.Library ieee;Use ieee.std_logic_1164.all;entity qk_11 isport( a,b,c,d,en:in std_logic; s:in std_logic_vector(1 downto 0); op:out std_logic );end qk_11;architecture ar_1 of qk_11 is signal f:( );beginf<=en&s; with f select op<=a when "100", b when "101", c when "110", d when others; end ar_1;
- 补全以下D触发器VHDL程序。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY dff IS PORT(CLK:IN STD_LOGIC; D:IN STD_LOGIC; Q:OUT STD_LOGIC);END dff;ARCHITECTURE bhv OF dff ISBEGIN PROCESS(_______) BEGIN IF CLK'EVENT AND CLK='1' THEN Q<=D; END IF; END PROCESS;END bhv;
- 补全以下VHDL程序。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_3_to_8 IS PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC; y:OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END decoder_3_to_8;ARCHITECTURE rtl OF decoder_3_to_8 IS SIGNAL indata:( );BEGIN indata <= c & b & a;PROCESS (indata,g1,g2a,g2b)...... END IF;END PROCESS;
- Quartus II的图形设计文件类型是( ).
- 建立设计项目的菜单是( ).
- 使用Quartus II工具软件建立仿真文件,应采用( )方式.
- 一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序称为( ).
- 使用Quartus II工具软件实现原理图设计输入,应采用( )方式.
- 在Quartus II集成环境下为图形文件产生一个元件符号的主要用途是( ).
- Quartus II的设计文件不能直接保存在( )。
- 执行Quartus II的( )命令,可以对设计电路进行功能仿真或者时序仿真.
- Quartus II是哪个公司的软件( ) 。
- Quartus II是( )
- 关键字ARCHITECTURE定义的是( ) 。
- 在元件例化(COMPONENT)语句中,用( )符号实现名称映射,将例化元件端口声明语句中的信号名与PORT MAP()中的信号名关联起来.
- VHDL程序中的中间信号必须在__________中定义,变量必须在__________中定义.( )
- 在VHDL的并行语句之前,可以用( )来传送往来信息.
- 描述项目具有逻辑功能的是( ) 。
- 在下列标识符中,( )是VHDL合法标识符.
- 一个项目的输入输出端口是定义在( )。
- 在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部变量,( )事先声明.
- 在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句.
- VHDL常用的库是( )标准库.
- EPF10K30TC144-4器件的速度等级是( )。
- 现场可编程门阵列的英文简称是( )。
- 可编程逻辑器件的英文简称是 ( )。
- 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( )。
- EPF10K30TC144-4具有多少个管脚 ( )。
- EDA的中文含义是( )
- 下列硬件描述语言中最适合于描述门级电路的是( )
- 下列硬件描述语言中成为IEEE标准的是( )
- CPLD/FPGA最显著的特点不包括( )
答案:对
答案:对
答案:对
答案:对
答案:对
答案:错
答案:错
答案:对
答案:错
答案:综合结果不同
答案:括号可以改变优先级。
温馨提示支付 ¥5.00 元后可查看付费内容,请先翻页预览!