提示:内容已经过期谨慎付费,点击上方查看最新答案

电子设计自动化

  1. Quartus II是哪个公司的软件( )。

  2. A:LATTICE B:XILINX C:ATMEL D:ALTERA
    答案:ALTERA
  3. 在Quartus II集成环境下为图形文件产生一个元件符号的主要作用是( )。

  4. A:编译 B:被高层次电路设计调用 C:仿真 D:综合
    答案:被高层次电路设计调用
  5. 下面既是并行语句又是串行语句的是()




  6. A:WHEN…ELSE语句 B:变量赋值 C:信号赋值 D:PROCESS语句 
    答案:PROCESS语句
  7. VHDL文本编辑中编译时出现如下的报错信息
    Error: VHDL syntax error: choice value length must match selector expression value length 其错误原因是( )。

  8. A:表达式宽度不匹配。 B:错将设计文件存入了根目录,并将其设定成工程。 C:程序中缺少关键词。 D:设计文件的文件名与实体名不一致。
    答案:没有将CASE语句中表达式的所有取值都列举出来
  9. 执行Quartus II的( )命令,可以检查设计电路错误。

  10. A:Compilation----编译 B:Simulation ----时序仿真 C:Create Default Symbol D:Timing Analyzer ---时序分析
    答案:Compiler
  11. VHDL文本编辑中编译时出现如下的报错信息
    Error: VHDL syntax error: signal declaration must have ‘;’,but found begin instead. 其错误原因是( )。

  12. A:设计文件的文件名与实体名不一致。 B:错将设计文件存入了根目录,并将其设定成工程。 C:信号声明缺少分号 D:程序中缺少关键词。

  13. 在VHDL中,可以用语句( )表示检测clock下降沿。

  14. A:clock’ event and clock=’0’ B:clock’ event and clock=’1’ C:clock=’0’ D:clock’ event

  15. STD_LOGIC_1164中定义的高阻态是字符( )。


  16. A:Z B:x C:z D:X

  17. 对VHDL程序进行编译时,如果出现如下报错信息
    Error: VHDL Design File “mux21” must contain an entity of the same name,
    那么错误原因是( )。


  18. A:错将设计文件的后缀写成.tdf 而非.vhd 。 B:程序中缺少关键词。 C:设计文件的文件名与实体名不一致。 D:错将设计文件存入了根目录,并将其设定成工程。

  19. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:( )。

  20. A:VITAL库 B:IEEE库 C:STD库 D:WORK工作库

  21. 下面哪一个可以用作VHDL中的合法的实体名( )。

  22. A:SIGNAL B:VARIABLE C:OR D:OUT1

  23. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是( )。

  24. A:if clk’event and clk =‘1’ then B:if falling_edge(clk) then C:if clk’stable and not clk =‘1’ then D:if clk’event and clk =‘0’ then

  25. 嵌套的IF语句,其综合结果可实现( )。

  26. A:条件相与的逻辑 B:条件相或的逻辑 C:条件相异或的逻辑 D:三态控制电路

  27. 在VHDL中,PROCESS结构内部是由( )语句组成的。

  28. A:任何 B:顺序 C:并行 D:顺序和并行

  29. EDA的中文含义是( )。

  30. A:计算机辅助计算 B:计算机辅助制造 C:计算机辅助教学 D:电子设计自动化

  31. 在EDA中,ISP的中文含义是( )。

  32. A:使用编程器烧写PLD芯片 B:网络供应商 C:没有特定意义 D:在系统编程

  33. CPLD的可编程是主要基于什么结构( )



  34. A:ROM可编程; B:PAL可编程; C:与或阵列可编程;  D:查找表(LUT)

  35. 在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句。

  36. A:不存在的 B:顺序 C:并行 D:并行和顺序

  37. 对于标识符书写规则的描述正确的是( )。


  38. A:任何字符都可以 B:必须以英文字母开头 C:可以使用汉字开头 D:可以使用数字开头
    AI参考:正确选项是B:必须以英文字母开头。标识符必须以英文字母开头是编程语言中的常见规则,这样可以避免与其他关键字混淆,提高代码的可读性和可维护性。虽然有些编程语言允许使用数字、下划线或特殊符号作为标识符的前缀,但大多数编程语言仍然要求标识符以英文字母开头。因此,选项B是正确的描述。选项A、C和D都不符合大多数编程语言的标识符书写规则。'
  39. 一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序称为( )。

  40. A:设计输入 B:设计输出 C:设计实体 D:设计结构

  41. 如果a='1',b='0',则表达式a & b的结果是( )。



  42. AI参考:选项A) 0 选项B) 1 选项C) 无法确定 答案A) 0。在二进制中,1的二进制表示为0001,0的二进制表示为0000。所以,当a=1,b=0时,a与b的二进制表示进行按位与运算,结果为0。"
  43. 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用( )表示的。

  44. A:大或小写字母和数字 B:全部是数字 C:小写字母和数字 D:大写字母和数字

  45. 进程中的变量赋值语句,其变量更新是()



  46. A:在进程的最后完成 B:都不对 C:立即完成 D:按顺序完成

  47. 下列语句中,不是并行语句的是( )。


  48. A:CASE语句 B:WHEN…ELSE…语句 C:元件例化语句 D:Process语句

  49. 在VHDL中用( )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。

  50. A:输出 B:综合 C:配置 D:输入

  51. 关于关系运算符的说法正确的是( )。

  52. A:关系运算和数据类型无关 B:不能进行关系运算 C:前面的说法都错误 D:关系运算数据类型要相同
    AI参考:正确答案是A:关系运算和数据类型无关。关系运算符用于比较两个值之间的关系,和数据类型无关,可以应用于不同数据类型的比较,例如整数和字符串的比较。选项B和D都错误,因为关系运算可以进行,而且关系运算的数据类型可以不同。选项C明显错误。因此,选项A是正确的。'
  53. .断言语句中预定义的错误等级有( )

  54. A:Error B:Note C:Warning D:Failure
    AI参考:正确选项为【ABCD】。断言语句中预定义的错误等级通常包括Error(错误)、Note(说明)、Warning(警告)和Failure(失败)。这些等级用于标识代码中的不同错误或问题严重程度,以便开发人员能够根据需要采取相应的修复措施。'
  55. VHDL常用的库有( )

  56. A:IEEE B:STD C:WORK D:PACKAGE

  57. STD_LOGIC中,’0’,’1’,’Z’,’W’可以综合。( )

  58. A:对 B:错
    AI参考:正确答案是B:错。在STD_LOGIC中,0,1,Z是综合的,但是W不是综合的。因为W不是标准逻辑信号,在综合过程中会被忽略。所以这个说法是错误的。"
  59. PLD是Programmable Logic Device(可编程逻辑器件)的缩写。( )


  60. A:对 B:错

  61. 元件例化语句位置关联时位置必须一一对应。( )

  62. A:对 B:错

  63. 在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。( )

  64. A:对 B:错
    AI参考:答案是B:错。在进程中,同一信号的赋值源可能有多个,但实际完成赋值的是最先到达的信号源。因此,最接近begin的信号不一定是实际完成赋值的那个信号源。"
  65. 仿真也称模拟,是对所设计电路的功能的验证。( )

  66. A:错 B:对

  67. CONSTANT T2:std_logic <= ’0’; ( )

  68. A:错 B:对
    AI参考:答案:B:对。 根据题目描述,CONSTANT T2被声明为std_logic类型,并且被赋值为0,这是一个合法的赋值操作,因此答案为B:对。"
  69. 计数器是组合电路。( )

  70. A:对 B:错
    AI参考:答案是B:错。计数器是时序电路,而不是组合电路。组合电路的特点是任意时刻的输出仅仅取决于该时刻的输入,而与时序电路的输入状态无关,时序电路的特点是不仅取决于当前输入,而且还与过去的状态有关。计数器在每个时钟周期输出不同的状态,需要记忆过去的状态,因此是时序电路。"
  71. 当进程语句中使用wait语句后,必须列出敏感信号。( )

  72. A:错 B:对
    AI参考:B:对。 根据操作系统或进程管理的知识,当进程语句中使用wait语句后,必须列出敏感信号,这样才能确保进程之间的同步和互斥,保证系统的正确性和稳定性。因此,这个判断题的答案是B:对。"
  73. 综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。( )

  74. A:对 B:错

  75. 状态机编码方式中,编码方式最简单、使用触发器数量最少的是一位热码编码。( )

  76. A:错 B:对
    AI参考:答案是B:对。 状态机编码方式中,编码方式最简单、使用触发器数量最少的是一位热码编码。这是因为一位热码编码只需要一个触发器,只需要将触发器的输出端作为状态机的状态编码即可。相比其他编码方式,如双热码编码、米利厄斯编码等,一位热码编码是最简单、使用触发器数量最少的编码方式。"
  77. Quartus II的原理图文件编辑输入命令是Block Diagram/Schematic File。 ( )

  78. A:对 B:错
    AI参考:答案是B:错。Quartus II的原理图文件编辑输入命令应该是Schematic Editor。因此,这个判断题是错误的。"
  79. 布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。( )

  80. A:对 B:错
    AI参考:答案是B:错。 布局布线是将综合生成的电路逻辑网表映射到具体的目标器件中实现,并对产生的最终可下载文件进行验证和优化的过程。在实现这一过程中,需要考虑器件的物理结构、电气性能、布线规则、电源设计等多个因素,需要专业的设计工具和经验才能完成。因此,单纯的布局布线是不够的,还需要其他的设计步骤和考虑因素。所以题目描述有误,应该选择错误答案B。"
  81. 对于采用一位热码编码设计的状态机,其剩余状态数将随有效状态数的增加呈指数方式剧增。( )

  82. A:错 B:对
    AI参考:答案是B:对。 对于采用一位热码编码设计的状态机,其剩余状态数将随有效状态数的增加呈指数方式剧增。这是因为热码编码是一种二进制编码方式,每个状态可以表示多个不同的状态组合,随着有效状态数的增加,可以表示的状态组合数量也会随之增加,从而导致了剩余状态数的剧增。因此,这个判断题是正确的。"
  83. 利用QuartusⅡ可以进行时序仿真和功能仿真。( )

  84. A:错 B:对

  85. EDA是Electronic Design Automation,电子设计自动化的缩写。( )

  86. A:错 B:对

  87. VHDL语言与计算机C语言的没有差别。 ( )

  88. A:对 B:错

  89. 复杂可编程逻辑器件(CPLD)的英文全称是Complex Programmable Logic Device。( )


  90. A:错 B:对
    AI参考:正确答案是B:对。 复杂可编程逻辑器件(CPLD)的英文全称是Complex Programmable Logic Device,所以选项B是正确的。选项A是错误的,因为CPLD是一种可编程逻辑器件,而不是复杂可编程逻辑设备。"
  91. CPLD和FPGA都属于高集成度可编程逻辑器件。( )

  92. A:对 B:错
    AI参考:答案是A,对。CPLD(复杂可编程逻辑器件)和FPGA(现场可编程门阵列)都属于高集成度可编程逻辑器件。它们都可以根据用户的需求来编程,以实现不同的逻辑功能。"
  93. VHDL语言的运算操作符包括逻辑运算符、关系运算符、算术运算符和符号操作符,此外还有重载操作符。 ( )


  94. A:对 B:错

  95. HDL是一种用文本形式来描述和设计电路的语言。( )

  96. A:错 B:对
    AI参考:答案是B:对。HDL是一种用文本形式来描述和设计电路的语言。它是一种高级硬件描述语言,通常用于数字电路和系统的设计和仿真。因此,这个判断题是正确的。"

温馨提示支付 ¥1.50 元后可查看付费内容,请先翻页预览!
点赞(1) dxwkbang
返回
顶部