1.

对于标识符书写规则的描述正确的是( )。


A:任何字符都可以 B:必须以英文字母开头 C:可以使用汉字开头 D:可以使用数字开头
答案:AI参考:正确选项是B:必须以英文字母开头。\n\n标识符必须以英文字母开头是编程语言中的常见规则,这样可以避免与其他关键字混淆,提高代码的可读性和可维护性。虽然有些编程语言允许使用数字、下划线或特殊符号作为标识符的前缀,但大多数编程语言仍然要求标识符以英文字母开头。因此,选项B是正确的描述。选项A、C和D都不符合大多数编程语言的标识符书写规则。'
2..断言语句中预定义的错误等级有( )
A:Error B:Note C:Warning D:Failure
答案:AI参考:正确选项为【ABCD】。断言语句中预定义的错误等级通常包括Error(错误)、Note(说明)、Warning(警告)和Failure(失败)。这些等级用于标识代码中的不同错误或问题严重程度,以便开发人员能够根据需要采取相应的修复措施。'
3.Quartus II的原理图文件编辑输入命令是Block Diagram/Schematic File。 ( )
A:对 B:错
答案:"AI参考:答案是B:错。Quartus II的原理图文件编辑输入命令应该是Schematic Editor。因此,这个判断题是错误的。"
4.下列语句中,不属于并行语句的是( ) 。
A:元件例化语句 B:WHEN…ELSE…语句 C:进程语句 D:CASE语句
答案:CASE语句
5.EDA的中文含义是( )。
A:计算机辅助计算 B:计算机辅助教学 C:电子设计自动化 D:计算机辅助制造
答案:电子设计自动化
6.下面对利用原理图输入设计方法进行数字电路系统设计的描述中,那一种说法是不正确的( )。
A:原理图输入设计方法无法对电路进行功能描述; B:原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计; C:原理图输入设计方法一般是一种自底向上的设计方法; D:原理图输入设计方法也可进行层次化设计。
答案:原理图输入设计方法无法对电路进行功能描述;
7.VHDL文本编辑中编译时出现如下的报错信息Error: Can’t open VHDL “WORK” 其错误原因是( )。
A:设计文件的文件名与实体名不一致。 B:程序中缺少关键词。 C:错将设计文件的后缀写成.tdf,而非.vhd 。 D:错将设计文件存入了根目录,并将其设定成工程。
答案:设计文件的文件名与实体名不一致
8.对于信号和变量的说法,哪一个是不正确的( )。
A:变量的赋值是立即完成的 B:变量和信号的赋值符号不一样 C:信号在整个结构体内的任何地方都能适用 D:信号用于作为进程中局部数据存储单元
答案:信号用于作为进程中局部数据存储单元
9.Quartus Ⅱ的设计文件不能直接保存在( )。
A:工程目录 B:硬盘 C:文件夹 D:根目录
答案:根目录
10.Quartus II的VHDL文本文件的扩展名是( )。
A:.vhd B:.gdf C:.ahd D:.scf
答案:.vhd
11.QuartusII中编译VHDL源程序时要求( )。
A:文件名和实体可不同名 B:文件名和实体名无关 C:不确定 D:文件名和实体名要相同 12.下列关于信号的说法不正确的是( )。
A:信号相当于器件内部的一个数据暂存节点。 B:在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。 C:信号的端口模式不必定义,它的数据既可以流进,也可以流出。 D:信号在整个结构体内的任何地方都能适用。 13.描述项目具有逻辑功能的是 ( )。
A:进程 B:结构体 C:实体 D:配置 14.在执行Quartus Ⅱ的( )命令,可以实现仿真。
A:Create default symbol B:Timing Analyzer C:Compiler D:Simulator 15.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库( )。
A:IEEE库 B:STD库 C:VITAL库 D:WORK工作库 16.在执行Quartus Ⅱ的( )命令,可以精确分析设计电路输入与输出波形间的延时量。
A:Create default symbol B:Compiler C:Simulator D:Timing Analyzer 17.VHDL运算符优先级的说法正确的是( )。
A:逻辑运算的优先级最低 B:逻辑运算的优先级最高 C:关系运算的优先级最高 D:关系运算的优先级最低 18.正确给变量X赋值的语句是( )。
A:X=A+B; B:X<=A+B; C:X:=A+b; D:前面的都不正确 19.下面哪一个可以用作VHDL中的合法的实体名( )。
A:VARIABLE B:SIGNAL C:OUT1 D:OR 20.VHDL运算符优先级的说法正确的是( )。
A:NOT的优先级最低 B:AND和NOT属于同一个优先级 C:前面的说法都是错误的 D:NOT的优先级最高 21.Quartus II工具软件是Altera公司推出的( )EDA开发工具软件。
A:第四代 B:第五代 C:初代 D:第二代 22.在EDA中,IP的中文含义是( )。
A:知识产权核 B:没有特定意义 C:网络供应商 D:在系统编程 23.STD_LOGIC中,’0’,’1’,’Z’,’W’可以综合。( )
A:正确 B:错误 24.PLD是Programmable Logic Device(可编程逻辑器件)的缩写。( )
A:正确 B:错误 25. 元件例化语句位置关联时位置必须一一对应。( )
A:对 B:错 26.在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。( )
A:对 B:错 27. 仿真也称模拟,是对所设计电路的功能的验证。( )
A:错误 B:正确 28. CONSTANT T2:std_logic <= ’0’; ( )
A:错 B:对 29. 计数器是组合电路。( )
A:正确 B:错误 30. 当进程语句中使用wait语句后,必须列出敏感信号。( )
A:错误 B:正确 31. 综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。( )
A:正确 B:错误 32.状态机编码方式中,编码方式最简单、使用触发器数量最少的是一位热码编码。( )
A:错误 B:正确 33. 布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。( )
A:正确 B:错误 34. 对于采用一位热码编码设计的状态机,其剩余状态数将随有效状态数的增加呈指数方式剧增。( )
A:错 B:对 35.利用QuartusⅡ可以进行时序仿真和功能仿真。( )
A:错 B:对 36. EDA是Electronic Design Automation,电子设计自动化的缩写。( )
A:错误 B:正确 37.VHDL语言与计算机C语言的没有差别。 ( )
A:正确 B:错误 38.复杂可编程逻辑器件(CPLD)的英文全称是Complex Programmable Logic Device。( )
A:错 B:对 39. CPLD和FPGA都属于高集成度可编程逻辑器件。( )
A:对 B:错 40.VHDL语言的运算操作符包括逻辑运算符、关系运算符、算术运算符和符号操作符,此外还有重载操作符。 ( )
A:正确 B:错误 41. HDL是一种用文本形式来描述和设计电路的语言。( )
A:错误 B:正确 42.在VHDL语言中,非逻辑操作符是NOT。( )
A:错 B:对 43.关键字SIGNAL定义的是信号 。( )
A:错 B:对 44.在VHDL语言的程序中,注释使用--符号。( )
A:对 B:错 45.在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与THEN作用。( )
A:对 B:错 46.在VHDL语言中,异或逻辑操作符是XOR 。( )
A:对 B:错 47.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。( )
A:对 B:错 48.在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有9种逻辑值。( )
A:错误 B:正确 49.在EDA中,WORK库是用户的VHDL设计现行工作库。( )
A:对 B:错 50.一个完整的VHDL程序应包含三个基本部分,即库文件说明语句、实体定义语句和结构体定义语句。( )
A:对 B:错 51.Quartus II工具红的VHDL文本文件类型是 .v 。( )
A:错 B:对 52.在VHDL的端口声明语句中,用OUT声明端口为输出方向。( )
A:对 B:错 53.Quartus II的设计文件不能直接保存在硬盘根目录。( )
A:对 B:错 54.在VHDL的端口声明语句中,用IN声明端口为输入方向。( )
A:对 B:错 55.在VHDL语言中,VHDL 不等于关系运算符是/=。( )
A:对 B:错 56.以EDA方式设计实现的电路设计文件,最终可以编程下载到FPGA或者CPLD芯片中,完成硬件设计和验证。( )
A:错 B:对 57.关键字ARCHITECTURE定义的是结构体 。( )
A:对 B:错 58.在EDA中,IP核的中文含义是知识产权核。( )
A:错 B:对 59.在VHDL语句中,信号赋值是延时生效的。( )
A:对 B:错 60.在VHDL语句中,变量赋值是立即生效的。( )
A:错 B:对 61.1987标准的VHDL语言对26个英文字符不区分大小写。( )
A:对 B:错 62. Quartus II是哪个公司的软件( )。
A:LATTICE B:XILINX C:ATMEL D:ALTERA 63.在Quartus II集成环境下为图形文件产生一个元件符号的主要作用是( )。
A:编译 B:被高层次电路设计调用 C:仿真 D:综合 64.下面既是并行语句又是串行语句的是()
A:WHEN…ELSE语句 B:变量赋值 C:信号赋值 D:PROCESS语句  65. VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: choice value length must match selector expression value length 其错误原因是( )。
A:表达式宽度不匹配。 B:错将设计文件存入了根目录,并将其设定成工程。 C:程序中缺少关键词。 D:设计文件的文件名与实体名不一致。 66. 执行Quartus II的( )命令,可以检查设计电路错误。
A:Compilation----编译 B:Simulation ----时序仿真 C:Create Default Symbol D:Timing Analyzer ---时序分析 67. VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: signal declaration must have ‘;’,but found begin instead. 其错误原因是( )。
A:设计文件的文件名与实体名不一致。 B:错将设计文件存入了根目录,并将其设定成工程。 C:信号声明缺少分号 D:程序中缺少关键词。 68. 在VHDL中,可以用语句( )表示检测clock下降沿。
A:clock’ event and clock=’0’ B:clock’ event and clock=’1’ C:clock=’0’ D:clock’ event 69.STD_LOGIC_1164中定义的高阻态是字符( )。
A:Z B:x C:z D:X 70.对VHDL程序进行编译时,如果出现如下报错信息Error: VHDL Design File “mux21” must contain an entity of the same name,那么错误原因是( )。
A:错将设计文件的后缀写成.tdf 而非.vhd 。 B:程序中缺少关键词。 C:设计文件的文件名与实体名不一致。 D:错将设计文件存入了根目录,并将其设定成工程。 71. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:( )。
A:VITAL库 B:IEEE库 C:STD库 D:WORK工作库 72. 下面哪一个可以用作VHDL中的合法的实体名( )。
A:SIGNAL B:VARIABLE C:OR D:OUT1 73. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是( )。
A:if clk’event and clk =‘1’ then B:if falling_edge(clk) then C:if clk’stable and not clk =‘1’ then D:if clk’event and clk =‘0’ then 74.嵌套的IF语句,其综合结果可实现( )。
A:条件相与的逻辑 B:条件相或的逻辑 C:条件相异或的逻辑 D:三态控制电路 75. 在VHDL中,PROCESS结构内部是由( )语句组成的。
A:任何 B:顺序 C:并行 D:顺序和并行 76. EDA的中文含义是( )。
A:计算机辅助计算 B:计算机辅助制造 C:计算机辅助教学 D:电子设计自动化 77. 在EDA中,ISP的中文含义是( )。
A:使用编程器烧写PLD芯片 B:网络供应商 C:没有特定意义 D:在系统编程 78.CPLD的可编程是主要基于什么结构( )
A:ROM可编程; B:PAL可编程; C:与或阵列可编程;  D:查找表(LUT) 79. 在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句。
A:不存在的 B:顺序 C:并行 D:并行和顺序 80.一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序称为( )。
A:设计输入 B:设计输出 C:设计实体 D:设计结构 81.如果a='1',b='0',则表达式a & b的结果是( )。
A:'01' B:"10" C:"01" D:'10' 82. 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用( )表示的。
A:大或小写字母和数字 B:全部是数字 C:小写字母和数字 D:大写字母和数字 83.进程中的变量赋值语句,其变量更新是()
A:在进程的最后完成 B:都不对 C:立即完成 D:按顺序完成 84.下列语句中,不是并行语句的是( )。
A:CASE语句 B:WHEN…ELSE…语句 C:元件例化语句 D:Process语句 85. 在VHDL中用( )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。
A:输出 B:综合 C:配置 D:输入 86. 关于关系运算符的说法正确的是( )。
A:关系运算和数据类型无关 B:不能进行关系运算 C:前面的说法都错误 D:关系运算数据类型要相同 87.下列VHDL操作符中,属于关系操作符的有( )。
A:>= B:/= C:= D:<= 88.下列语句中不属于并行语句的有( )。
A:IF语句 B:LOOP语句 C:元件例化语句 D:CASE语句 89.VHDL设计实体包括( )。
A:PORT语句 B:端口列表 C:实体名 D:类属说明语句 90.在进行EDA工程开发仿真时,需要完成以下( )环节。
A:建立波形文件 B:运行仿真器 C:添加信号节点 D:设置输入信号波形 91.以下语句中属于流程控制语句的有( )。
A:变量赋值语句 B:IF语句 C:CASE语句 D:信号赋值语句 92.下列选项中是VHDL语言支持常用库的有( )。
A:STD库 B:IEEE库 C:VITAL库 D:WORK库 93.在VHDL语言中,下列标识符中合法的标识符有( )。
A:AND21 B:_A2 C:AND_21 D:OR21 94.EDA工具大致可以分为( )以及下载器等模块。
A:仿真器 B:hdl综合器 C:适配器 D:设计输入编辑器 95.VHDL结构体包括( )。
A:功能描述语句 B:结构体说明语句 C:结构体名 D:程序包 96.EDA开发工具软件通常必须包括( )软件包。
A:调试器 B:综合器 C:译码器 D:适配器 97.利用Quartus Ⅱ工具软件进行EDA工程开发时,在设置工程过程中要完成( )等操作。
A:设置工程实体名字 B:设置工程使用的器件 C:新建工程文件夹 D:设置工程名字 98.以下数据类型中属于STD_LOGIC_1164程序包定义的有( )。
A:STD_LOGIC B:UNSIGNED C:SIGNED D:STD_LOGIC _VECTOR 99.VHDL语言中,顺序语句主要包括( )等。
A:IF语句 B:LOOP语句 C:NEXT语句 D:CASE语句 100.VHDL程序中常用的预定义程序包有( )。
A:STD_LOGIC_SIGNED程序包 B:STD_LOGIC_1164程序包 C:STD_LOGIC_UNSIGNED程序包 D:STD_LOGIC_ARITH程序包 101.以下关键字中属于端口模式定义的有( )。
A:BUFFER B:INOUT C:OUT D:IN 102.Quartus II的EDA设计时,设计文件可以保存在( )。
A:英文路径的文件夹中 B:硬盘上 C:工程目录中 D:磁盘根目录 103.在进行数字电子钟设计的硬件功能调试之前,需要完成( )步骤。
A:系统顶层设计实现与仿真 B:功能模块设计与仿真 C:编程或配置 D:功能分析与原理框图绘制 104.下列器件中可以作为EDA工程开发的目标器件的有( )。
A:EPM7128SLC84-15 B:单片机 C:CPLD D:FPGA 105.下列端口模式中可以将数据读到设计内部的有( )。
A:IN B:BUFFER C:OUT D:INOUT 106.VHDL程序包括( )等结构。
A:库 B:结构体 C:程序包 D:实体 E:配置 107.VHDL常用的库有( )
A:IEEE B:STD C:WORK D:PACKAGE

温馨提示支付 ¥3.00 元后可查看付费内容,请先翻页预览!
点赞(2) dxwkbang
返回
顶部